.

.

عوامل کلیدی در انتخاب مقایسه‌کننده

با دانستن این که وظیفه‌ی یک مقایسه کننده، مقایسه‌ی دو ولتاژ یا دو جریان با هم است، هنگام انتخاب یک مقایسه کننده مناسب باید چندین پارامتر در نظر گرفته شود:

.

1- سرعت و توان: با وجود این که به طور کلی مقایسه‌کننده‌ها افزاره‌هایی «سریع» هستند، اما مدارهای آنها از تعاملات کلاسیک سرعت-قدرت بری نیستند. در نتیجه، برای رسیدن به سرعت مطلوب، مقایسه‌کننده‌های سرعت بالا از ترانزیستورهایی با نسبت سرعت-قدرت بزرگ‌تر استفاده می‌کنند و از این رو انرژی بیشتری نیز مصرف می‌کنند. بسته به نوع کاربرد، یا مقایسه‌کننده‌ای با سرعت بالا انتخاب می‌شود و یا یکی که در مصرف انرژی صرفه‌جویانه عمل می‌کند. به عنوان مثال، مقایسه‌کننده‌هایی که در مقیاس نانو انرژی مصرف می‌کنند، به صورت  تراشه‌هایی کم‌حجم با بدنه‌های UCSP[1] ، DFN[2] یا SC70[3] مانند تیپ‌های  MAX9027، LTC1540، LPV7215، MAX9060  و MCP6541 برای کاربردهای کم‌مصرف و قابل حمل ایده‌آل هستند. به همین ترتیب اگر برای طراحی یک مدار نوسان‌ساز غیرخطی واهُلِشی (رِلاکساسیون) برای ایجاد سیگنال ساعت (کلاک) با سرعت بالا به یک مقایسه‌کننده نیاز باشد، مقایسه‌گرهایی که فقط چند نانوثانیه تاخیر انتشار دارند مناسب تر هستند. تراشه‌های  ADCMP572 (با خروجی CML[4]LMH7220 (با خروجی LVDS[5]MAX999  و LT1719 (با خروجی CMOS/TTL) ،MAX9010 (با خروجی TTL) و MAX9601 (با خروجی PECL[6]) نمونه‌هایی از برخی مقایسه‌کننده‌های خوب با سرعت بالا هستند.

.

مدار مقایسه کننده ی سی ماس کم مصرف با کنترل توسط پالس ساعت

طرح اصولی مدار مقایسهکنندهی سی.ماس کممصرف با کنترل توسط پالس ساعت

.

2- پس‌ماند یا هیسترزیس: به طور معمول وقتی ولتاژ بین ورودی‌های مقایسه‌کننده از صفر ولت عبور می‌کند، حالت خروجی خود را تغییر می‌دهد. همچنین، هنگامی که اختلاف ولتاژ ورودی‌ها نزدیک به صفر ولت باشد، تغییرات ولتاژ کوچک به دلیل نویز، در ورودی‌ها، همیشه می‌توانند باعث تغییرات سریع نامطلوب بین دو حالت خروجی شوند‌. برای جلوگیری از این نوسان خروجی، همان طور که در بخش پیشین هم اشاره شد، یک پس‌ماند کوچک در حدود چند میلی‌ولت در بسیاری از تراشه‌های مقایسه‌کننده‌ی روزآمد ادغام شده است. به عنوان مثال، تراشه‌های LTC6702 و MAX9021 و MAX9031 دارای هیسترزیس داخلی هستند که باعث حساسیت‌زدایی آنها از نویز ورودی می‌شود. به این ترتیب، به جای فقط «یک نقطه‌ی کلیدگری» (سوئیچینگ)، دو نقطه را معرفی می‌کنند: یکی برای افزایش ولتاژ، و دیگری برای افت ولتاژ. تفاوت بین «مقدار نقل‌مکان» به سطح بالاتر (VTRIP+) و مقدار نقل‌مکان به سطح پایین‌تر (VTRIP-) برابر با ولتاژ پس‌ماند (VHYST) است. اگر مقایسه‌کننده دارای هیسترزیس داخلی نباشد یا اگر نویز ورودی بیشتر از پس‌ماند داخلی باشد، می‌توان با استفاده از یک بازخورد مثبت، از خروجی به ورودی ناوارونگر، یک شبکه هیسترزیس خارجی ایجاد کرد. مدار «اشمیت تریگر»ی که به این صورت شکل می‌گیرد، ایمنی بیش‌تری در برابر نویز ایجاد کرده و سیگنال خروجی تمیزتر می‌شود.

برخی از تراشه‌های مقایسه‌کننده مانند LMP7300، LTC1540، MAX931 ،MAX971  و ADCMP341 کنترل هیسترزیس را از طریق یک «پایه‌ی هیسترزیس جداگانه» فراهم می‌کنند. این مقایسه‌کننده‌ها امکان اضافه کردن یک پس‌ماند قابل برنامه‌ریزی را بدون بازخورد یا نیاز به شبکه‌های پیچیده، حتی اگر امپدانس منبع زیاد باشد و ورودی‌ها از شبکه‌ی هیسترزیس ایزوله باشند، فراهم می‌کنند. از آنجا که ورودی‌ها به واسطه‌ی پایه‌ی جداگانه‌ی هیسترزیس از شبکه پس‌ماند جدا شده‌اند، مقایسه‌کننده نمی‌تواند سیگنال‌های موجود در باند پسماند را در خود مصرف یا زایل کند.

.

3- انواع خروجی‌ها: از آنجا که مقایسه‌کننده‌ها فقط دو حالت خروجی دارند، خروجی آن‌ها یا نزدیک به صفر یا نزدیک به ولتاژ تغذیه است. مقایسه‌کننده‌های دو قطبی موسوم به «ریل به ریل» (Rail-to-Rail) دارای یک خروجی امیتر-مشترک هستند که بین ولتاژ و هر ریل افت ولتاژ کمی ایجاد می‌کند. این افت برابر با ولتاژ کلکتور به امیتر در یک ترانزیستور در حالت اشباع است. اگر جریان‌های خروجی اندک باشند، ولتاژهای خروجی مقایسه‌کننده‌های ریل به ریل  از نوع سی.ماس، که متناظر با یک ماسفت اشباع شده هستند، نسبت به ترانزیستورهای دو قطبی به ریل‌ها نزدیک‌تر هستند.

بر حسب نوع طراحی خروجی‌ها، می‌توان مقایسه‌کننده‌ها را همچنین به عنوان با خروجی «منفذ-باز» (Open-Drain) یا خروجی «پوش-پول» طبقه‌بندی نمود. مقایسه‌کننده‌هایی که دارای یک طبقه‌ی خروجی «منبع-باز» (Open-Source) هستند، از یک مقاومت بالانگه‌دار خارجی به خط مثبت تغذیه استفاده می‌کنند که تراز منطقی یک را تعریف می‌کند. مقایسه‌کننده‌های منفذ-باز برای طراحی در سامانه‌هایی که سطح ولتاژهای مختلط دارند، مناسب‌تر است. از آنجا که خروجی برای تراز منطقی "یک" از امپدانس بالایی برخوردار است، از مقایسه‌کننده‌های منفذ-باز می‌توان همچنین برای اتصال چندین مقایسه‌کننده به یک گذرگاه (Bus) استفاده کرد. خروجی  پوش-پول به مقاومت بالانگه‌دار احتیاج ندارد و در نتیجه می‌تواند، بر خلاف خروجی منفذ-باز، برای تأمین در نظر گرفته شود.

.

4- مرجع داخلی: متداول‌ترین کاربرد برای مقایسه‌کننده‌ها، مقایسه بین یک ولتاژ ورودی و یک ولتاژ مرجع پایدار است. اکثر تولیدکنندگان نیز مقایسه‌کننده‌هایی را ارایه می دهند که در آن‌ها مولّد ولتاژ مرجع درون تراشه ادغام شده است. ترکیب دو مدار منبع ولتاژ مرجع و مقایسه‌کننده در یک تراشه علاوه بر صرفه‌جویی در فضا، جریان کمتری از منبع مقایسه با مرجع خارجی نیز می‌کشد. آی.سی.های مختلفی با طیف گسترده‌ای از ولتاژهای مرجع مختلف مانند MAX9062 (مرجع 200 میلی‌ولت)، LT6700 (مرجع 400 میلی‌ولت)، ADCMP350  (مرجع 600 میلی‌ولت)، MAX9025  (مرجع 1/236 ولت)، MAX9040 (مرجع 2/048 ولت) ،TLV3012  (مرجع 1/24 ولت) و TSM109 (مرجع 2/5 ولت) در دسترس هستند.

.

5- دایمی یا به ضربآهنگ ساعت: تراز خروجی یک مقایسه‌کننده‌ی مداوم، هر وقت سیگنال کم یا زیاد به ورودی آن اعمال می‌شود، یا "1" یا "0" می‌شود و با تغییر ورودی‌ها به سرعت تغییر وضعیت می‌دهد. اما، بسیاری از کاربردها فقط در لحظات خاص به خروجی مقایسه‌کننده نیاز دارند، مانند مبدل‌های آنالوگ به دیجیتال و حافظه‌ها. با کارانداختن یک مقایسه‌کننده فقط در فواصل زمانی مورد نظر، می‌توان با یک ساختار مقایسه‌کننده‌ی ساعت‌دار (یا پویا)‌ با دقت بالاتر و همزمان با صرف توان کمتر دست یافت که به آن مقایسه‌کننده‌ی قفل‌شده (Latched) نیز گفته می‌شود. در مقایسه‌کننده‌های قفل‌شده، اغلب مقدار زیادی فیدبک مثبت برای «دوره‌ی بازسازی» (رژنراسیون) در زمان "یک بودن" ورودی ساعت به کار گرفته می‌شود و موقع "صفر بودن" ساعت «دوره‌ی بازنشانی» یا ریسِت را دارند. این درست نقطه‌ی مقابل یک مقایسه‌کننده‌ی مداوم است که فقط می‌تواند بازخورد مثبت ضعیف را به کار بَرَد، زیرا در آن دوره‌ی بازنشانی وجود ندارد.

.

کاربردهای مقایسه‌کننده‌ها

الف) آشکارساز صفر

«آشکارسازی صفر» به عملکردی گفته می‌شود که طی آن کمیتی که به عنوان «صفر» مشخص شده، تشخیص داده شود. مقایسه‌کننده‌ها می‌توانند نوعی تقویت‌کننده برای اندازه‌گیری‌های مقایسه‌ایِ صفر باشند. چنین مداری مشابه یک  تقویت‌کننده با بهره‌ی بسیار زیاد، با ورودی‌های به خوبی متعادل‌شده و خروجی با محدوده‌ی تغییرات کنترل شده است. این مدار دو ولتاژ ورودی را با یکدیگر مقایسه می‌کند و ورودی بزرگ‌تر را تعیین می‌کند. ورودی‌ها یک ولتاژ نامعیّن و یک ولتاژ مرجع هستند که معمولاً Vu و Vr نامیده می‌شوند. ولتاژ مرجع معمولاً روی ورودی ناوارونگر (+) قرار دارد، در حالی که Vu معمولاً روی ورودی وارونگر است (-). (در نقشه‌های شماتیکِ این مدارها، ورودی‌ها را با توجه به علامت آنها و در ارتباط با خروجی، در شرایطی که یکی از ورودی‌ها از دیگری بیش‌تر باشد، نمایش می‌دهند.) خروجی همواره یا مثبت است یا منفی، به عنوان مثال 12± ولت. در این حالت، مقصود این است که کشف کنیم در چه زمانی تفاوتی بین ولتاژهای ورودی وجود ندارد. برابر بودن ولتاژ مرجع معیّن با ولتاژ ورودی نامعیّن نمایشگر وقوع چنین وضعیتی خواهد بود.

هنگام استفاده از یک مقایسه‌کننده به عنوان یک آشکارساز صفر، محدودیت‌هایی در دقت اندازه‌گیری مقدار صفر وجود دارد. خروجی صفر زمانی داده می‌شود که مقدار اختلاف ولتاژها ضرب در ضریب بهره‌ی تقویت‌کننده کمتر از ولتاژهای حدّی باشد. به عنوان مثال، اگر بهره‌ی تقویت‌کننده 106 بوده، و محدوده‌ی ولتاژها 6 ± ولت باشد، اگر اختلاف ولتاژ کمتر از 6 میکروولت باشد، هیچ خروجی‌ای بیرون داده نخواهد شد. از این وضعیت، اما، می‌توان به عنوان نوعی عدم‌قطعیت در اندازه‌گیری نیز یاد کرد.

.

ب) آشکارساز گذر از صفر

وظیفه‌ی این آشکارسازها تعیین زمانی است که قطبیّت یک پالس جریان متناوب (AC) تغییر می‌کند. در این حال خروجی مقایسه‌کننده، هر بار که قطبیت پالس تغییر می‌یابد، وضعیت خود را عوض می‌کند، به این ترتیب که در حضور یک پالس مثبت، خروجی مقایسه‌کننده در تراز بالا قرار می‌گیرد، و با ظاهر شدن پالسی منفی، خروجی مقایسه‌کننده به تراز پایین می‌رود. به عبارت دیگر، مقایسه‌کننده سیگنال ورودی را تقویت کرده و به آن شکل مربعی می‌دهد.

.

مدار آشکارساز گذر از صفر با یک تراشه 9027 ساخت شرکت ماکسیم

مدار آشکارساز گذر از صفر با یک تراشه 9027 ساخت شرکت ماکسیم

.

پ) نوسانگر واهِلِشی (رلاکساسیون)

مقایسه‌کننده را می‌توان در ساخت نوسانگر واهلشی به کار برد. در این مدارها از هر دو بازخوردهای مثبت و منفی استفاده به عمل می‌آید.

.

مدار اصولی نوسانگر واهلشی با استفاده از مقایسه کننده و بازخوردهای مثبت و منفی

.

بازخورد مثبت یک ساختار تشخیص دامنه از نوع «اشمیت تریگر» می‌سازد و خود آن به تنهایی یک «مولتی‌ویبراتور بی‌استابل» (با دو حالت پایدار) است. در این حال، دادن یک بازخورد منفی تاخیری با یک مقاومت و خازن، در مدار نوسانگری خودکار را ایجاد می‌کند. به عبارت دیگر، افزودن یک شبکه‌ی خازن-مقاومت به مولتی‌ویبراتور بی‌استابل، آن را به «مولتی‌ویبراتور آستابل» (دو حالت ناپایدار و تغییریابنده) تبدیل می‌کند.

.

ت) تغییردهنده‌ی دامنه یا سطح ولتاژ

تغییردهنده‌ی سطح (Level Shifter) که به آن تبدیل‌کننده‌ی سطح و در الکترونیک دیجیتال به آن مبدّل سطح لاجیک هم می‌گویند، مداری است که سیگنال‌ها را از یک حوزه‌ی تراز ولتاژی به حوزه‌ی دیگری تبدیل می‌کند و به این وسیله انطباق میان تراشه‌های مختلف را با یکدیگر ممکن می‌سازد. مثلاً الزامات ولتاژی سطوح دیجیتالی تی.تی.ال. و سی.ماس با هم تطبیق می‌دهد و آن‌ها را به هم می‌خوراند. در الکترونیک نوین امروز این مدارها همچون پل‌هایی فاصله‌ی میان میکروکنترلرها، پروسسورها، سنسورها، تراشه های لاجیک و مدارهای آنالوگ را پُر می‌کنند. در سالیان اخیر سطوح ولتاژی 1/8 ولت، 3/3 ولت و 5 ولت فراگیر شده‌اند، مضاف بر این که سطوح ولتاژی بالاتر و پایین‌تر از این‌ها نیز همزمان در یک مدار مورد استفاده قرار می‌گیرند.

.

مدار مبدل سطح لاجیک با یک تراشه 9028 ساخت شرکت ماکسیم

مدار مبدّل سطح 3 به 5 ولت و برعکس با یک تراشه از تیپ 9028 ساخت ماکسیم

.

مدارهای تبدیل سطح فقط به یک مقایسه‌کننده با خروجی «منفذ-باز» مانند LM393، TLV3011 یا  MAX9028 نیاز دارند. این مدارها قابلیت انعطاف بسیار زیادی در انتخاب ولتاژی که باید تبدیل شود، دارند. استفاده از تراشه‌هایی مانند MAX972 حتی تبدیل ولتاژ متقارن دوقطبی 5± ولت را به ولتاژ لاجیک تک‌قطبی 3 ولت امکان‌پذیر می‌کند.

.

ث) مبدّل‌های آنالوگ به دیجیتال

اگر مقایسه‌کننده‌ای وظیفه‌ی تشخیص این را بر عهده بگیرد که آیا یک ولتاژ ورودی بالاتر یا پایین‌تر از یک مقدار آستانه‌ایِ از پیش تعیین شده است یا خیر، اساساً یک عمل «کمّی‌سازی» (کوانتیزاسیون) یک بیتی به انجام رسیده است. این عملکرد در تقریباً تمام مبدل‌های آنالوگ به دیجیتال به کار برده می‌شود و در ترکیب با افزاره‌های دیگر به کمی‌سازی چندبیتی خواهد رسید.

.

مدار اصولی یک نوع مبدل آنالوگ به دیجیتال 2 بیتی با استفاده از مقایسه‌کننده

مدار اصولی یک نوع مبدل آنالوگ به دیجیتال 2 بیتی با استفاده از مقایسه‌کننده

.

پایان

(بخش پیشین)

.

.

پانویس‌ها:

[1] UCSP = µicro ChipScale Package

[2] DFN = Dual Flat No-lead Package

[3] SC70 = SOT323, plastic, surface-mounted package

[4] CML = Current Mode Logic, or Source-Coupled Logic (SCL)

[5] LVDS = Low-Voltage Differential Signaling

[6] PECL = Positive Emitter Coupled Logic

.

.

مطالب مرتبط:

مقایسه‌کننده‌های ولتاژ – بخش 1

مدار یک ترموستات ساده برای کنترل دما در بازه‌ی دلخواه

مدار یک منبع تغذیه آزمایشگاهی با ولتاژ و جریان قابل تنظیم

وقتی که دست‌ها یکدیگر را می‌شویند

نگهبانی برای حفاظت از باتری خودرو

.

.

www.etesalkootah.ir ||   2021-02-18 © 

2015 www.etesalkootah.ir  © All rights reserved.

تمامی حقوق برای www.etesalkootah.ir محفوظ است. بیان شفاهی بخش یا تمامی یک مطلب از www.etesalkootah.ir در رادیو،  تلویزیون و رسانه های مشابه آن با ذکر واضح "اتصال کوتاه دات آی آر" بعنوان منبع مجاز است. هر گونه  استفاده  کتبی از بخش یا تمامی هر یک از مطالب www.etesalkootah.ir در سایت های اینترنتی در صورت قرار دادن لینک مستقیم و قابل "کلیک" به آن مطلب در www.etesalkootah.ir مجاز بوده و در رسانه های چاپی نیز در صورت چاپ واضح "www.etesalkootah.ir" بعنوان منبع مجاز است.

.